Генератор низкочастотных сигналов на микроконтроллере. Функциональный DDS генератор

Максимальная частота - 65534 Гц (и до 8 МГц HS выход с меандром). И тут я подумал, что генератор - отличная задача, где ПЛИС сможет показать себя в лучшем виде. В качестве спортивного интереса я решил повторить проект на ПЛИС, при этом по срокам уложиться в два выходных дня, а параметры получить не строго определенные, а максимально возможные. Что из этого получилось, можно узнать под катом

День нулевой

До того, как наступят выходные, у меня было немного времени подумать над реализацией. Чтобы упростить себе задачу, решил сделать генератор не в виде отдельного устройства с кнопками и LCD экраном, а в виде устройства, которое подключается к ПК через USB. Для этого у меня есть плата USB2RS232 . Плата драйверов не требует (CDC), поэтому, я думаю, что и под Linux будет работать (для кого-то это важно). Так же, не буду скрывать, что с приемом сообщений по RS232 я уже работал. Модули для работы с RS232 буду брать готовые c opencores.com .

Для генерации синусоидального сигнала потребуется ЦАП. Тип ЦАП я выбрал, как и в исходном проекте - R2R на 8 бит. Он позволит работать на высоких частотах, порядка мегагерц. Убежден, что ПЛИС с этим должна справиться

По поводу того, на чем написать программу для передачи данных через COM порт я задумался. С одной стороны, можно написать на Delphi7, опыт написания такой программы уже есть, к тому же размер исполняемого файла будет не большим. Еще попробовал набросать что-то для работы с Serial в виде java скрипта в html страничке, но более менее заработало только через Chrome serial API, но для этого надо устанавливать плагин… в общем тоже отпадает. В качестве новшества для себя попробовал PyQt5, но при распространении такого проекта, нужно тащить кучу библиотек. Попробовав собрать PyQt проект в exe файл, получилось больше 10 мб. То есть, будет ничем не лучше приложения, написанного на c++\Qt5. Стоит еще учесть, что опыта разработки на python у меня нет, а вот на Qt5 - есть. Поэтому выбор пал на Qt5. С пятой версии там появился модуль для работы с serial и я с ним уже работал. А еще приложение на Qt5 может быть перенесено на Linux и Mac (для кого-то это важно), а с 5.2 версии, приложения на QWidgets может быть перенесено даже на смартфон!

Что еще нужно? Естественно плата с ПЛИС. У меня их две (Cyclone iv EP4CE10E22C8N на 10 тыс. ячеек, и Cyclone ii EP2C5 на 5 тыс. ячеек). Я выберу ту, что слева, исключительно по причине более удобного разъема. В плане объема проект не предполагает быть большим, поэтому уместится в любую из двух. По скорости работы они не отличаются. Обе платы имеют «на борту» генераторы 50 МГц, а внутри ПЛИС есть PLL , с помощью которого я смогу увеличить частоту до запланированных 200 МГц.

День первый

В связи с тем, что модуль DDS я уже делал в своем синтезаторном проекте, то я сразу взялся за паяльник и начал паять ЦАП на резисторах. Плату взял макетную. Монтаж делал с применением накрутки . Единственное изменение, которое коснулось технологии - я отказался от кислоты Ф38Н для лужения стоек в пользу индикаторного флюс-геля ТТ . Суть технологии проста: в печатную плату впаиваю стойки, на них со стороны печатного монтажа припаиваю резисторы. Недостающие соединения выполняю накруткой. Еще, стойки удобны тем, что я их могу вставить прямо в плату ПЛИС.

К сожалению, дома в наличии не оказалось резисторов 1 и 2 килоома. Ехать в магазин было некогда. Пришлось поступиться одним из своих правил, и выпаять резисторы из старой не нужной платы. Там применялись резисторы 15К и 30К. Получился вот такой франкенштейн:


После создания проекта нужно задать целевое устройство: Меню Assigments -> Device


В проекте я «нахадркодил» неуправляемый главный модуль DDS на фиксированную частоту.

Модуль генератора на 1000 Гц

module signal_generator(clk50M, signal_out); input wire clk50M; output wire signal_out; wire clk200M; osc osc_200M reg accumulator; assign signal_out = accumulator; //пробуем генерировать 1000 Гц //50 000 000 Hz - тактовая частота внешнего генератора //2^32 = 4 294 967 296 - разрядность DDS - 32 бита //делим 1000Hz / 50 000 000 Hz / 2 * 4294967296 => 42949,67296 always @(posedge clk50M) begin accumulator <= accumulator + 32"d42949; end endmodule


После этого нажал «Start Compilation», чтобы среда разработки задалась вопросом, какие у нас линии ввода вывода есть в главном модуле проекта и к каким физическим PIN"s они подключены. Подключить можно практически к любому. После компиляции назначаем появившиеся линии к реальным PIN микросхемы ПЛИС:

Пункт меню Assigments -> Pin Planner

На линии HS_OUT, key0 и key1 прошу пока не обращать внимание, они появляются в проекте потом, но скрин в самом начале я сделать не успел.

В принципе, достаточно «прописать» только PIN_nn в столбце Location, а остальные параметры (I/O standart, Current Strench и Slew Rate) можно оставить по умолчанию, либо выбрать такие же, что предлагаются по умолчанию (default), чтобы не было warning"ов.

Как узнать какому PIN соответствует номер разъема на плате?

Номера контактов разъема подписаны на плате


А пины ПЛИС, к которым подключены контакты разъема, описаны в документации, которая идет в комплекте с платой ПЛИС.




После того, как пины назначены, компилирую проект еще раз и прошиваю с помощью USB программатора. Если у вас не установлены драйверы для программатора USB Byte blaster, то укажите Windows, что они находятся в папке, куда у вас установлен Quartus. Дальше она сама найдет.

Подключать программатор нужно к разъему JTAG. А пункт меню для программирования «Tools -> Programmer» (либо нажать значек на панели инструментов). Кнопка «Start», радостное «Success» и прошивка уже внутри ПЛИС и уже работает. Только не выключайте ПЛИС, а то она все забудет.

Tools -> Programmer


ЦАП подключен к разъему платы ПЛИС. К выходу ЦАП подключаю осциллограф С1-112А. В результате должна получиться «пила» потому что на выход 8 бит выводится старшая часть слова DDS аккумулятора фазы. А оно всегда увеличивается, пока не переполнится.

Каких-то 1.5 часа и для частоты в 1000 Гц я вижу следующую осциллограмму:

Хочу заметить, что «пила» по середине имеет небольшой перелом. Он связан с тем, что резисторы имеют разброс значений.

Еще один важный момент, который нужно было выяснить - это максимально возможная частота, с которой будет работать DDS генератор. При правильно настроенных параметрах TimeQuest, после компиляции в «Compilation Report» можно увидеть, что скорость работы схемы выше 200 МГц с запасом. А это значит, что частоту генератора 50 МГц я буду умножать с помощью PLL на 4. Увеличивать значение аккумулятора фазы DDS буду с частотой 200 МГц. Итоговый диапазон частот, который можно получить в наших условиях 0 - 100 МГц. Точность установки частоты:

200 000 000 Гц (clk) / 2^32 (DDS) = 0,047 Гц
То есть, это лучше, чем ~0.05 Гц. Точность в доли герца для генератора с таким диапазоном рабочих частот (0...100 МГц) считаю достаточной. Если кому-то потребуется повысить точность, то для этого можно увеличить разрядность DDS (при этом не забыть проверить TimeQuest Timing Analyzer, что скорость работы логической схемы укладывалась в CLK=200 МГц, ведь это сумматор), либо просто снизить тактовую частоту, если такой широкий диапазон частот не требуется.

TimeQuest Timing Analyzer


После того, как я увидел на экране «пилу», семейные дела заставили меня ехать на дачу (выходной же). Там я косил, варил, жарил шашлык и не подозревал о том сюрпризе, что ждал меня вечером. Уже ближе к ночи, перед сном, я решил посмотреть форму сигнала для других частот.

Для частоты 100 КГц

Для частоты 250 КГц

Для частоты 500 КГц

Для частоты 1 МГц

День второй

В связи с тем, что было интересно, как будет работать ЦАП на резисторах 100 и 200 Ом, я сразу взялся за паяльник. На этот раз ЦАП получился более аккуратным, а времени на его монтаж ушло меньше.

Ставим ЦАП на плату ПЛИС и подключаем к осциллографу

Проверяем 1 МГц - ВО! Совсем другое дело!

Пила 10 МГц

Пила 25 МГц


Форма пилы на 10 МГц еще похожа на правильную. Но на 25 МГц она уже совсем «не красивая». Однако, у С1-112а полоса пропускания - 10 МГц, так что в данном случае причина может быть уже в осциллографе.

В принципе, на этом вопрос с ЦАП можно считать закрытым. Теперь снимем осциллограммы высокоскоростного выхода. Для этого, выведем старший бит на отдельный PIN ПЛИС. Данные для этой линии будем брать со старшего бита аккумулятора DDS.

Assign hs_out = accumulator;

Меандр 1 МГц

Меандр 5 МГц

Меандр 25 МГц

Меандр 50 МГц уже практически не виден


Но считаю, что выход ПЛИС стоило бы нагрузить на сопротивление. Возможно, фронты были бы круче.

Синус делается по таблице. Размер таблицы 256 значений по 8 бит. Можно было бы взять и больше, но у меня уже был готовый mif файл. С помощью мастера создаем элемент ROM с данными таблицы синуса из mif-файла.

Создание ROM - Tools -> Mega Wizard Plugin manager


Выбираем 1 портовую ROM и задаем название модулю

Соглашаемся

Тут тоже соглашаемся

С помощью browse находим наш mif файл с таблицей синуса

Тут тоже ничего не меняем

Снимаем галочку с модуля sine_rom_bb.v - он не нужен. Дальше finish. Квартус спросит добавить модуль в проект - соглашаемся. После этого, модуль можно использовать так же, как любой другой модуль в Verilog.


Старшие 8 бит слова аккумулятора DDS будут использоваться в качестве адреса ROM, а выход данных - значение синуса.

Код

//sine rom wire sine_out; sine_rom sine1(.clock(clk200M), .address(accumulator), .q(sine_out));


Осциллограмма синуса на разных частотах выглядит… одинаково.

При желании, можно рассмотреть проблемы ЦАП, связанные с разбросом резисторов:

Чтож, на этом выходные кончились. А ведь еще не написано ПО для управления с ПК. Вынужден констатировать факт, что в запланированные сроки я не уложился.

День третий

Времени совсем мало, поэтому программу пишем на скорую руку (в лучших традициях). Местами, чтобы сократить количество букв и удобство ввода информации с клавиатуры, применяется фильтр событий по имени виджета. Прошу понять и простить.

Интерфейс

Ссылки с аналогами

Далеко не полный список
Функциональный DDS генератор. Создан базе AVR. Частоты 0… 65534 Гц.
Обзор DDS-генератора GK101. Создан с применением ПЛИС Altera MAX240. Частоты до 10 МГц.
Многофункциональный генератор на PIC16F870. Частотный диапазон: 11 Гц - 60 кГц.
генераторы Добавить метки

Сегодня на обзоре конструктор генератора DDS (Direct Digital Synthesizers, прямой цифровой синтез - метод получения сигнала напрямую с выхода ЦАП по заранее указанной функции или таблице значений). с китайского магазина. Особо много технической документации нарыть не удалось. Внизу статьи прикреплен файлик с оригинальным описанием.

Характеристики от производителя:

  • простая схема;
  • ВЧ выход до 8 МГц;
  • регулируемая амплитуда и постоянная составляющая на выходе синтезатора;
  • синтезируемые формы: синус, треугольник, прямая и обратная пила, ЭКГ, шум;
  • меню на дисплее 16х2;
  • простая клавиатура из 5 кнопок;
  • шаг регулировки частоты 1Гц - 10кГц
  • хранение последних настроек энергонезависимо;
  • диапазон частот синтезатор 1Гц - 65535Гц;
  • постоянная составляющая -5В..+5В;
  • амплитуда до 10В.

Конструктор пришел вот в таком пакете

Вот что внутри

Никакой инструкции не наблюдалось, но, как и обещали, интуитивно всё понятно. Как видно, на плате всё сразу подписано номиналами. Плата, кстати, сделана весьма неплохо.

Можно начинать сборку. Традиционно первыми ставим резисторы. Их номиналы либо проверяем мультиметром, либо выясняем по кольцам. Вот так это выглядит у меня, поставлены резисторы 10к и 20к:

Ставлю не все сразу, чтобы лес выводов внизу не мешал. Вот так установлены и впаяны все резисторы:

Теперь поставим переменный резистор. Он необходим для подстройки контрастности экрана. Заодно вставил кварц.

Теперь установим разъем для дисплейного модуля. Тут надо обратить внимание на 2 момента - разъём при пайке не перегрейте (чтобы не поплавить корпус) и поставить надо как можно более вертикально. У меня получилось вот так.

Заодно смонтируем ответную гребенку в дисплейный модуль. Нюансы из предыдущего пункта в силе.

Разъём питания. Устройству требуется, как видим 3 напряжения: +12, -12, +5 (В). +5В нужен для работы проца и дисплея, +/-12 для выходного усилителя.

,

Теперь два подстроечных резистора. Будьте внимательны: несмотря на одинаковые корпуса резисторы имеют разные номиналы - 50кОм для регулировки амплитуды и 1кОм для регулировки постоянной составляющей.

Из пайки остались только панельки под микросхемы. Какая для чего - перепутать сложно. Снова не рекомендую перегревать. Обращайте внимание на положение ключа на маркировке и на панельке.

Ставим в панельке две микросхемы. Внимательно следите, чтобы ключ стоял в соответствии с маркировкой. При установке восьминогой LM358 обязательно убедитесь в правильном положении ключа; неправильное положение на 80% приведет к отказу микросхемы. При установке микроконтроллера следите за тем, чтобы все ноги попадали в панельку, при необходимости осторожно подогните выводы. Также я привинтил стойки к плате в средние отверстия для закрепления дисплея.

Осталось установить в разъем дисплей и привинтить к стойкам. В принципе устройство собрано. Вот окончательный вид

В соответствии с надписями надо подать питания. Можно от нескольких батареек (я сделал именно так), можно подключить к блоку питания компьютера. При подаче питания должна загореться подсветка дисплея. Изображения может и не быть, причина в расстроенной контрастности.

Настраиваем контрастность

При правильно настроенной контрастности символы чётко должны быть видны на дисплее

Начнём тестирование. В первую очередь снимем сигнал с правого разъёма DDS

Кнопками UP и DOWN выбирается форма сигнала, LEFT и RIGHT меняем частоту, центральная кнопка включает/выключает генерацию.

Сразу видим, что после 10 кГц синуса уже далеко нет. После 30 кГц падает амплитуда. На частотах ниже 10 кГц синус хороший, частота стабильна, ступенек нет.

Теперь смотрим прямоугольный сигнал, частоты 1, 5, 10 кГц

На частотах выше 10 кГц даже проверять не стану - думаю уже все понятно.

Теперь треугольный сигнал, частоты 1, 5, 10, 30, 65,5 кГц.

Максимальная частота - 65534 Гц (и до 8 МГц HS выход с меандром). И тут я подумал, что генератор - отличная задача, где ПЛИС сможет показать себя в лучшем виде. В качестве спортивного интереса я решил повторить проект на ПЛИС, при этом по срокам уложиться в два выходных дня, а параметры получить не строго определенные, а максимально возможные. Что из этого получилось, можно узнать под катом

День нулевой

До того, как наступят выходные, у меня было немного времени подумать над реализацией. Чтобы упростить себе задачу, решил сделать генератор не в виде отдельного устройства с кнопками и LCD экраном, а в виде устройства, которое подключается к ПК через USB. Для этого у меня есть плата USB2RS232 . Плата драйверов не требует (CDC), поэтому, я думаю, что и под Linux будет работать (для кого-то это важно). Так же, не буду скрывать, что с приемом сообщений по RS232 я уже работал. Модули для работы с RS232 буду брать готовые c opencores.com .

Для генерации синусоидального сигнала потребуется ЦАП. Тип ЦАП я выбрал, как и в исходном проекте - R2R на 8 бит. Он позволит работать на высоких частотах, порядка мегагерц. Убежден, что ПЛИС с этим должна справиться

По поводу того, на чем написать программу для передачи данных через COM порт я задумался. С одной стороны, можно написать на Delphi7, опыт написания такой программы уже есть, к тому же размер исполняемого файла будет не большим. Еще попробовал набросать что-то для работы с Serial в виде java скрипта в html страничке, но более менее заработало только через Chrome serial API, но для этого надо устанавливать плагин… в общем тоже отпадает. В качестве новшества для себя попробовал PyQt5, но при распространении такого проекта, нужно тащить кучу библиотек. Попробовав собрать PyQt проект в exe файл, получилось больше 10 мб. То есть, будет ничем не лучше приложения, написанного на c++\Qt5. Стоит еще учесть, что опыта разработки на python у меня нет, а вот на Qt5 - есть. Поэтому выбор пал на Qt5. С пятой версии там появился модуль для работы с serial и я с ним уже работал. А еще приложение на Qt5 может быть перенесено на Linux и Mac (для кого-то это важно), а с 5.2 версии, приложения на QWidgets может быть перенесено даже на смартфон!

Что еще нужно? Естественно плата с ПЛИС. У меня их две (Cyclone iv EP4CE10E22C8N на 10 тыс. ячеек, и Cyclone ii EP2C5 на 5 тыс. ячеек). Я выберу ту, что слева, исключительно по причине более удобного разъема. В плане объема проект не предполагает быть большим, поэтому уместится в любую из двух. По скорости работы они не отличаются. Обе платы имеют «на борту» генераторы 50 МГц, а внутри ПЛИС есть PLL , с помощью которого я смогу увеличить частоту до запланированных 200 МГц.

День первый

В связи с тем, что модуль DDS я уже делал в своем синтезаторном проекте, то я сразу взялся за паяльник и начал паять ЦАП на резисторах. Плату взял макетную. Монтаж делал с применением . Единственное изменение, которое коснулось технологии - я отказался от кислоты Ф38Н для лужения стоек в пользу индикаторного флюс-геля ТТ . Суть технологии проста: в печатную плату впаиваю стойки, на них со стороны печатного монтажа припаиваю резисторы. Недостающие соединения выполняю накруткой. Еще, стойки удобны тем, что я их могу вставить прямо в плату ПЛИС.

К сожалению, дома в наличии не оказалось резисторов 1 и 2 килоома. Ехать в магазин было некогда. Пришлось поступиться одним из своих правил, и выпаять резисторы из старой не нужной платы. Там применялись резисторы 15К и 30К. Получился вот такой франкенштейн:


После создания проекта нужно задать целевое устройство: Меню Assigments -> Device


В проекте я «нахадркодил» неуправляемый главный модуль DDS на фиксированную частоту.

Модуль генератора на 1000 Гц

module signal_generator(clk50M, signal_out); input wire clk50M; output wire signal_out; wire clk200M; osc osc_200M reg accumulator; assign signal_out = accumulator; //пробуем генерировать 1000 Гц //50 000 000 Hz - тактовая частота внешнего генератора //2^32 = 4 294 967 296 - разрядность DDS - 32 бита //делим 1000Hz / 50 000 000 Hz / 2 * 4294967296 => 42949,67296 always @(posedge clk50M) begin accumulator <= accumulator + 32"d42949; end endmodule


После этого нажал «Start Compilation», чтобы среда разработки задалась вопросом, какие у нас линии ввода вывода есть в главном модуле проекта и к каким физическим PIN"s они подключены. Подключить можно практически к любому. После компиляции назначаем появившиеся линии к реальным PIN микросхемы ПЛИС:

Пункт меню Assigments -> Pin Planner

На линии HS_OUT, key0 и key1 прошу пока не обращать внимание, они появляются в проекте потом, но скрин в самом начале я сделать не успел.

В принципе, достаточно «прописать» только PIN_nn в столбце Location, а остальные параметры (I/O standart, Current Strench и Slew Rate) можно оставить по умолчанию, либо выбрать такие же, что предлагаются по умолчанию (default), чтобы не было warning"ов.

Как узнать какому PIN соответствует номер разъема на плате?

Номера контактов разъема подписаны на плате


А пины ПЛИС, к которым подключены контакты разъема, описаны в документации, которая идет в комплекте с платой ПЛИС.




После того, как пины назначены, компилирую проект еще раз и прошиваю с помощью USB программатора. Если у вас не установлены драйверы для программатора USB Byte blaster, то укажите Windows, что они находятся в папке, куда у вас установлен Quartus. Дальше она сама найдет.

Подключать программатор нужно к разъему JTAG. А пункт меню для программирования «Tools -> Programmer» (либо нажать значек на панели инструментов). Кнопка «Start», радостное «Success» и прошивка уже внутри ПЛИС и уже работает. Только не выключайте ПЛИС, а то она все забудет.

Tools -> Programmer


ЦАП подключен к разъему платы ПЛИС. К выходу ЦАП подключаю осциллограф С1-112А. В результате должна получиться «пила» потому что на выход 8 бит выводится старшая часть слова DDS аккумулятора фазы. А оно всегда увеличивается, пока не переполнится.

Каких-то 1.5 часа и для частоты в 1000 Гц я вижу следующую осциллограмму:

Хочу заметить, что «пила» по середине имеет небольшой перелом. Он связан с тем, что резисторы имеют разброс значений.

Еще один важный момент, который нужно было выяснить - это максимально возможная частота, с которой будет работать DDS генератор. При правильно настроенных параметрах TimeQuest, после компиляции в «Compilation Report» можно увидеть, что скорость работы схемы выше 200 МГц с запасом. А это значит, что частоту генератора 50 МГц я буду умножать с помощью PLL на 4. Увеличивать значение аккумулятора фазы DDS буду с частотой 200 МГц. Итоговый диапазон частот, который можно получить в наших условиях 0 - 100 МГц. Точность установки частоты:

200 000 000 Гц (clk) / 2^32 (DDS) = 0,047 Гц
То есть, это лучше, чем ~0.05 Гц. Точность в доли герца для генератора с таким диапазоном рабочих частот (0...100 МГц) считаю достаточной. Если кому-то потребуется повысить точность, то для этого можно увеличить разрядность DDS (при этом не забыть проверить TimeQuest Timing Analyzer, что скорость работы логической схемы укладывалась в CLK=200 МГц, ведь это сумматор), либо просто снизить тактовую частоту, если такой широкий диапазон частот не требуется.

TimeQuest Timing Analyzer


После того, как я увидел на экране «пилу», семейные дела заставили меня ехать на дачу (выходной же). Там я косил, варил, жарил шашлык и не подозревал о том сюрпризе, что ждал меня вечером. Уже ближе к ночи, перед сном, я решил посмотреть форму сигнала для других частот.

Для частоты 100 КГц

Для частоты 250 КГц

Для частоты 500 КГц

Для частоты 1 МГц

День второй

В связи с тем, что было интересно, как будет работать ЦАП на резисторах 100 и 200 Ом, я сразу взялся за паяльник. На этот раз ЦАП получился более аккуратным, а времени на его монтаж ушло меньше.

Ставим ЦАП на плату ПЛИС и подключаем к осциллографу

Проверяем 1 МГц - ВО! Совсем другое дело!

Пила 10 МГц

Пила 25 МГц


Форма пилы на 10 МГц еще похожа на правильную. Но на 25 МГц она уже совсем «не красивая». Однако, у С1-112а полоса пропускания - 10 МГц, так что в данном случае причина может быть уже в осциллографе.

В принципе, на этом вопрос с ЦАП можно считать закрытым. Теперь снимем осциллограммы высокоскоростного выхода. Для этого, выведем старший бит на отдельный PIN ПЛИС. Данные для этой линии будем брать со старшего бита аккумулятора DDS.

Assign hs_out = accumulator;

Меандр 1 МГц

Меандр 5 МГц

Меандр 25 МГц

Меандр 50 МГц уже практически не виден


Но считаю, что выход ПЛИС стоило бы нагрузить на сопротивление. Возможно, фронты были бы круче.

Синус делается по таблице. Размер таблицы 256 значений по 8 бит. Можно было бы взять и больше, но у меня уже был готовый mif файл. С помощью мастера создаем элемент ROM с данными таблицы синуса из mif-файла.

Создание ROM - Tools -> Mega Wizard Plugin manager


Выбираем 1 портовую ROM и задаем название модулю

Соглашаемся

Тут тоже соглашаемся

С помощью browse находим наш mif файл с таблицей синуса

Тут тоже ничего не меняем

Снимаем галочку с модуля sine_rom_bb.v - он не нужен. Дальше finish. Квартус спросит добавить модуль в проект - соглашаемся. После этого, модуль можно использовать так же, как любой другой модуль в Verilog.


Старшие 8 бит слова аккумулятора DDS будут использоваться в качестве адреса ROM, а выход данных - значение синуса.

Код

//sine rom wire sine_out; sine_rom sine1(.clock(clk200M), .address(accumulator), .q(sine_out));


Осциллограмма синуса на разных частотах выглядит… одинаково.

При желании, можно рассмотреть проблемы ЦАП, связанные с разбросом резисторов:

Чтож, на этом выходные кончились. А ведь еще не написано ПО для управления с ПК. Вынужден констатировать факт, что в запланированные сроки я не уложился.

День третий

Времени совсем мало, поэтому программу пишем на скорую руку (в лучших традициях). Местами, чтобы сократить количество букв и удобство ввода информации с клавиатуры, применяется фильтр событий по имени виджета. Прошу понять и простить.

Интерфейс

Ссылки с аналогами

Далеко не полный список
Функциональный DDS генератор. Создан базе AVR. Частоты 0… 65534 Гц.
Обзор DDS-генератора GK101. Создан с применением ПЛИС Altera MAX240. Частоты до 10 МГц.
Многофункциональный генератор на PIC16F870. Частотный диапазон: 11 Гц - 60 кГц.
генераторы
  • Qt5
  • Добавить метки

    Продолжая тему электронных конструкторов я хочу и в этот раз рассказать о одном из устройств для пополнения арсенала измерительных приборов начинающего радиолюбителя.
    Правда измерительным это устройство не назовешь, но то что оно помогает при измерениях это однозначно.

    Довольно часто радиолюбителю, да и не только, приходится сталкиваться с необходимостью проверки разных электронных устройств. Это бывает как на этапе отладки, так и на этапе ремонта.
    Для проверки бывает необходимо проследить прохождение сигнала по разным цепям устройства, но само устройство не всегда позволяет это сделать без внешних источников сигнала.
    Например при настройке/проверке многокаскадного НЧ усилителя мощности.

    Для начала стоит немного объяснить о чем пойдет речь в данном обзоре.
    Рассказать я хочу о конструкторе, позволяющим собрать генератор сигналов.

    Генераторы бывают разные, например ниже тоже генераторы:)

    Но собирать мы будем генератор сигналов. Я много лет пользуюсь стареньким аналоговым генератором. В плане генерации синусоидальных сигналов он очень хорош, диапазон частот 10-100000Гц, но имеет большие габариты и не умеет выдавать сигналы других форм.
    В данном случае же собирать будем DDS генератор сигналов.
    DDS это или на русском - схема прямого цифрового синтеза.
    Данное устройство может формировать сигналы произвольной формы и частоты используя в качестве задающего внутренний генератор с одной частотой.
    Преимущества данного типа генераторов в том, что можно иметь большой диапазон перестройки с очень мелким шагом и при необходимости иметь возможность формирования сигналов сложных форм.

    Как всегда, для начала, немного об упаковке.
    Помимо стандартной упаковки, конструктор был упакован в белый плотный конверт.
    Все компоненты сами находились в антистатическом пакете с защелкой (довольно полезная в хозяйстве радиолюбителя вещь:))

    Внутри упаковки компоненты были просто насыпом, и при распаковке выглядели примерно так.

    Дисплей был обернут пупырчатым полиэтиленом. Примерно с год назад я уже делал такого дисплея с применением, потому останавливаться на нем не буду, скажу лишь что доехал он без происшествий.
    В комплекте также присутствовали два BNC разъема, но более простой конструкции чем в обзоре осциллографа.

    Отдельно на небольшом кусочке вспененного полиэтилена были микросхемы и панельки для них.
    В устройстве применен микроконтроллер ATmega16 фирмы Atmel.
    Иногда люди путают названия, называя микроконтроллер процессором. На самом деле это разные вещи.
    Процессор это по сути просто вычислитель, микроконтроллер же в своем составе содержит кроме процессора ОЗУ и ПЗУ, и также могут присутствовать различные периферийные устройства, ЦАП, АЦП, ШИМ контроллер, компараторы и т.п.

    Вторая микросхема - Сдвоенный операционный усилитель LM358. Самый обычный, массовый, операционный усилитель.

    Сначала разложим весь комплект и посмотрим что же нам дали.
    Печатная плата
    Дисплей 1602
    Два BNC разъема
    Два переменных резистора и один подстроечный
    Кварцевый резонатор
    Резисторы и конденсаторы
    Микросхемы
    Шесть кнопок
    Разные разъемы и крепеж

    Печатная плата с двухсторонней печатью, на верхней стороне нанесена маркировка элементов.
    Так как принципиальная схема в комплект не входит, то на плату нанесены не позиционные обозначения элементов, а их номиналы. Т.е. все собрать можно и без схемы.

    Металлизация выполнена качественно, замечаний у меня не возникло, покрытие контактных площадок отличное, паяется легко.

    Переходы между сторонами печати сделаны двойными.
    Почему сделано именно так, а не как обычно, я не знаю, но это только добавляет надежности.

    Сначала по печатной плате я начал чертить принципиальную схему. Но уже в процессе работы я подумал, что наверняка при создании данного конструктора использовалась какая нибудь уже известная схема.
    Так и оказалось, поиск в интернет вывел меня на данного устройства.
    По ссылке можно найти, схему, печатную плату и исходники с прошивкой.
    Но я все равно решил дочертить схему в именно том виде как она есть и могу сказать, что она на 100% соответствует исходному варианту. Разработчики конструктора просто разработали свой вариант печатной платы. Это означает, что если существуют альтернативные прошивки данного прибора, то они будут работать и здесь.
    Есть замечание к схемотехнике, выход HS взят прямо с вывода процессора, никаких защит нет, потому есть шанс случайно сжечь этот выход:(

    Раз уж рассказывать, то стоит описать функциональные узлы данной схемы и расписать некоторые из них более расширенно.
    Я сделал цветной вариант принципиальной схемы, на котором цветом выделил основные узлы.
    Мне тяжело подобрать названия цветам, потом буду описывать как смогу:)
    Фиолетовый слева - узел первоначального сброса и принудительного при помощи кнопки.
    При подаче питания конденсатор С1 разряжен, благодаря чему на выводе Сброс процессора будет низкий уровень, по мере заряда конденсатора через резистор R14 напряжение на входе Сброс поднимется и процессор начнет работу.
    Зеленый - Кнопки переключения режимов работы
    Светло фиолетовый? - Дисплей 1602, резистор ограничения тока подсветки и подстроечный резистор регулировки контрастности.
    Красный - узел усилителя сигнала и регулировки сдвига относительно нуля (ближе к концу обзора показано что он делает)
    Синий - ЦАП. Цифро Аналоговый Преобразователь. Собран ЦАП по схеме , это один из самых простых вариантов ЦАП. В данном случае применен 8 бит ЦАП, так как используются все выводы одного порта микроконтроллера. Изменяя код на выводах процессора можно получить 256 уровней напряжения (8 бит). Состоит данный ЦАП из набора резисторов двух номиналов, отличающихся друг от друга в 2 раза, от этого и пошло название, состоящее из двух частей R и 2R.
    Преимущества такого решения - большая скорость при копеечной стоимости, резисторы лучше применять точные. Мы с товарищем применяли такой принцип но для АЦП, выбор точных резисторов был невелик, потому мы использовали немного другой принцип, ставили все резисторы одного номинала, но там где надо 2R, применяли 2 последовательно включенных резистора.
    Такой принцип Цифро аналогового преобразования был в одной из первых «звуковых карт» - . Там была также R2R матрица, подключаемая к LPT порту.
    Как я выше писал, в данном конструкторе ЦАП имеет разрешение 8 бит, или 256 уровней сигнала, для простого прибора этого более чем достаточно.

    На странице автора кроме схемы, прошивки и т.п. обнаружилась блок-схема данного прибора.
    По ней более понятная связ узлов.

    С основной частью описания закончили, расширенная будет далее по тексту, а мы перейдем непосредственно к сборке.
    Как и в прошлых примерах начать я решил с резисторов.
    В данном конструкторе резисторов много, но номиналов всего несколько.
    Основное количество резисторов имеют всего два номинала, 20к и 10к и почти все задействованы в R2R матрице.
    Чтобы немного облегчить сборку, скажу что можно даже не определять их сопротивелние, просто 20к резисторов 9 штук, а 10к резисторов соответственно 8:)

    В этот раз я применил несколько другую технологию монтажа. мне она нравится меньше, чем предыдущие, но также имеет право на жизнь. Такая технология в некоторых случаяюх ускоряет монтаж, особенно на большом количестве одинаковых элементов.
    В данном случае выводы резисторов формуются также как и раньше, после этого на плату устанавливается сначала все резисторы одного номинала, потом второго, получаются две такие линейки компонентов.

    С обратной стороны выводы немного загибаются, но несильно, главное чтобы элементы не выпали, и плата кладется на стол выводами вверх.

    Дальше берем припой в одну руку, паяльник в другую и пропаиваем все заполненные контактные площадки.
    Сильно усердствовать с количеством компонентов не стоит, так как если набить так сразу всю плату, то в этом «лесу» можно и заблудиться:)

    В конце обкусываем торчащие выводы компонентов впритык к припою. Бокорезами можно захватывать сразу несколько выводов (4-5-6 штук за один раз).
    Лично я такой способ монтажа не очень приветствую и показал его просто ради демонстрации различных вариантов сборки.
    Из недостатков такого способа:
    После обрезки получаются острые торчащие кончики
    Если компоненты стоят не в ряд, то легко получается каша из выводов, где все начинает путаться и это только тормозит работу.

    Из достоинств:
    Высокая скорость монтажа однотипных компонентов установленных в один - два ряда
    Так как выводы сильно не загибаются, то облегчается демонтаж компонента.

    Такой способ монтажа можно часто встретить в дешевых компьютерных блоках питания, правда там выводы не обкусывают, а срезают чем то типа режущего диска.

    После монтажа основного количества резисторов у нас останется несколько штук разного номинала.
    С парой понятно, это два резистора 100к.
    Три последних резистора это -
    коричневый - красный - черный - красный - коричневый - 12к
    красный - красный - черный - черный - коричневый - 220 Ом.
    коричневый - черный - черный - черный - коричневый - 100 Ом.

    Запаиваем последние резисторы, плата после этого должна выглядеть примерно так.

    Резисторы с цветовой маркировкой вещь хорошая, но иногда возникает путаница с тем, откуда считать начало маркировки.
    И если с резисторами, где маркировка состоит из четырех полосок, проблем обычно не возникает, так как последняя полоска чаще либо серебряная либо золотая, то с резисторами где маркировка из пяти полос, могут возникнуть проблемы.
    Дело в том, что последняя полоса может иметь цвет как у полосок означающих номинал.

    Для облегчения распознавания маркировки, последняя полоса должна отстоять от остальных, но это в идеальном случае. В реальной же жизни все бывает совсем не так как задумывалось и полоски идут в ряд на одном расстоянии друг от друга.
    К сожалению в таком случае помочь может либо мультиметр, либо просто логика (в случае сборки устройства из набора), когда просто убираются все известные номиналы, а уже по оставшимся можно понять что за номинал перед нами.
    Для примера пара фото вариантов маркировки резисторов в этом наборе.
    1. На двух соседних резисторов попалась «зеркальная» маркировка, где не имеет значения откуда читать номинал:)
    2. Резисторы на 100к, видно что последняя полоска стоит чуть дальше от основных (на обоих фото номинал читается слева - направо).

    Ладно, с резисторами и их сложностями в маркировке закончили, перейдем к более простым вещам.
    Конденсаторов в этом наборе всего четыре, при этом они парные, т.е. всего два номинала по две штуки каждого.
    Также в комплекте дали кварцевый резонатор на 16 МГц.

    О конденсаторах и кварцевом резонаторе я рассказывал в прошлом обзоре, потому просто покажу куда они должны устанавливаться.
    Видимо изначально все конденсаторы задумывались одного типа, но конденсаторы на 22 пФ заменили небольшими дисковыми. Дело в том, что место на плате рассчитано под расстояние между выводами 5мм, а мелкие дисковые имеют всего 2.5мм, потому придется выводы им немного разогнуть. Разгибать придется около корпуса (благо выводы мягкие), так как из-за того что над ними стоит процессор, то необходимо получить минимальную высоту над платой.

    В комплекте к микросхемам дали пару панелек и несколько разъемов.
    На следующем этапе они нам и понадобятся, а кроме них возьмем длинный разъем (мама) и четырехконтактного «папу» (на фото не попал).

    Панельки для установки микросхем дали самые обычные, хотя если сравнивать с панельками времен СССР, то шик.
    На самом деле, как показывает практика, такие панельки в реальной жизни служат дольше самого прибора.
    На панельках присутствует ключ, небольшой вырез на одной из коротких сторон. Собственно самой панельке все равно как вы ее поставите, просто потом по вырезу удобнее ориентироваться при установке микросхем.

    При установке панелек устанавливаем их также как сделано обозначение на печатной плате.

    После установки панелек плата начинает приобретать некоторый вид.

    Управление прибором производится при помощи шести кнопок и двух переменных резисторов.
    В оригинале прибора использовалось пять кнопок, шестую добавил разработчик конструктора, она выполняет функцию сброса. Если честно, то я не совсем понимаю пока ее смысл в реальном применении так как за все время тестов она мне ни разу не понадобилась.

    Выше я писал что в комплекте дали два переменных резистора, также в комплекте еще был подстроечный резистор. Немного расскажу про эти компоненты.
    Переменные резисторы предназначены для оперативного изменения сопротивления, кроме номинала имеют еще маркировку функциональной характеристики.
    Функциональная характеристика это то, как будет меняться сопротивление резистора при повороте ручки.
    Существует три основные характеристики:
    А (в импортном варианте В) - линейная, изменение сопротивления линейно зависит от угла поворота. Такие резисторы, например, удобно применять в узлах регулировки напряжения БП.
    Б (в импортном варианте С) - логарифмическая, сопротивление сначала меняется резко, а ближе к середине более плавно.
    В (в импортном варианте A) - обратно-логарифмическая, сопротивление сначала меняется плавно, ближе к середине более резко. Такие резисторы обычно применяют в регуляторах громкости.
    Дополнительный тип - W, производится только в импортном варианте. S-образная характеристика регулировки, гибрид логарифмического и обратно-логарифмического. Если честно, то я не знаю где такие применяются.
    Кому интересно, могут почитать подробнее.
    Кстати мне попадались импортные переменные резисторы у которых буква регулировочной характеристики совпадала с нашей. Например современный импортный переменный резистор имеющий линейную характеристику и букву А в обозначении. Если есть сомнения, то лучше искать дополнительную информацию на сайте.
    В комплекте к конструктору дали два переменных резистора, причем маркировку имел только один:(

    Также в комплекте был один подстроечный резистор. по своей сути это то же самое что переменный, только он не рассчитан на оперативную регулировку, а скорее - подстроил и забыл.
    Такие резисторы обычно имеют шлиц под отвертку, а не ручку, и только линейную характеристику изменения сопротивления (по крайней мере другие мне не попадались).

    Запаиваем резисторы и кнопки и переходим к BNC разъемам.
    Если планируется использовать устройство в корпусе, то возможно стоит купить кнопки с более длинным штоком, чтобы не наращивать те, что дали в комплекте, так будет удобнее.
    А вот переменные резисторы я бы вынес на проводах, так как расстояние между ними очень маленькое и пользоваться в таком виде будет неудобно.

    BNC разъемы хоть и попроще, чем в обзоре осциллографа, но мне понравились больше.
    Ключевое - их легче паять, что немаловажно для начинающего.
    Но появилось и замечание, конструкторы так близко поставили разъемы на плате, что закрутить две гайки невозможно в принципе, всегда одна будет сверху другой.
    Вообще в реальной жизни редко когда необходимы оба разъема сразу, но если бы конструкторы раздвинули их хотя бы на пару миллиметров, то было бы гораздо лучше.

    Собственно пайка основной платы завершена, теперь можно установить на свое место операционный усилитель и микроконтроллер.

    Перед установкой я обычно немного изгибаю выводы так, чтобы они были ближе к центру микросхемы. Делается это очень просто, берется микросхема двумя руками за короткие стороны и прижимается вертикально стороной с выводами к ровному основанию, например к столу. Изгибать выводы надо не очень много, тут скорее дело привычки, но устанавливать в панельку потом микросхему гораздо удобнее.
    При установке смотрим чтобы выводы случайно не загнулись внутрь, под микросхему, так как при отгибании обратно они могут отломиться.

    Микросхемы устанавливаем в соответствии ключом на панельке, которая в свою очередь установлена в соответствии с маркировкой на плате.

    Закончив с платой переходим к дисплею.
    В комплекте дали штыревую часть разъема, который необходимо припаять.
    после установки разъема я сначала припаиваю один крайний вывод, не важно красиво он припаян или нет, главное добиться того, чтобы разъем стоял плотно и перпендикулярно плоскости платы. Если необходимо, то прогреваем место пайки и подравниваем разъем.
    После выравнивания разъема пропаиваем остальные контакты.

    Все, можно промывать плату. В этот раз я это решил сделать до проверки, хотя обычно советую делать промывку уже после первого включения, так как иногда приходится еще что нибудь паять.
    Но как показала практика, с конструкторами все гораздо проще и после сборки паять приходится редко.

    Промывать можно разными способами и средствами, кто то использует спирт, кто то спирто-бензиновую смесь, я мою платы ацетоном, по крайней мере пока могу его купить.
    Уже когда промыл, то вспомнил совет из предыдущего обзора по поводу щетки, так как я пользуюсь ваткой. Ничего, придется перенести эксперимент на следующий раз.

    У меня в работе вработалась привычка после промывки платы покрывать ее защитным лаком, обычно снизу, так как попадание лака на разъемы недопустимо.
    В работе я использую лак Пластик 70.
    Данный лак очень «легкий», т.е. он при необходимости смывается ацетоном и пропаивается паяльником. Есть еще хороший лак Уретан, но с ним все заметно сложнее, он прочнее и паяльником пропаять его гораздо труднее. ТАкой лак используется для тяжелых условий эксплуатации и тогда, когда есть уверенность в том, что плату паять больше не будем, хотя бы какое то длительное время.

    После покрытия лаком плата становится более глянцевой и приятной на ощупь, возникает некоторое ощущение законченности процесса:)
    Жалко фото не передает общую картину.
    Меня иногда смешили слова людей типа - этот магнитофон/телевизор/приемник ремонтировали, вон видно следы пайки:)
    При хорошей и правильной пайке следов ремонта нет. Только специалист сможет понять, ремонтировали устройство или нет.

    Пришла очередь установки дисплея. Для этого в комплекте дали четыре винтика М3 и две монтажные стойки.
    Дисплей крепится только со стороны обратной разъему, так как со стороны разъема он держится собственно за сам разъем.

    Устанавливаем стойки на основную плату, затем устанавливаем дисплей, ну и в конце фиксируем всю эту конструкцию при помощи двух оставшихся винтиков.
    понравилось то, что даже отверстия совпали с завидной точностью, причем без подгонки, просто вставил и вкрутил винтики:).

    Ну все, можно пробовать.
    Подаю 5 Вольт на соответствующие контакты разъема и…
    И ничего не происходит, только включается подсветка.
    Не стоит пугаться и сразу искать решение на форумах, все нормально, так и должно быть.
    Вспоминаем что на плате есть подстроечный резистор и он там не зря:)
    Данным подстроечным резистором надо отрегулировать контрастность дисплея, а так как он изначально стоял в среднем положении, то вполне закономерно, что мы ничего не увидели.
    Берем отвертку и вращаем этот резистор добиваясь нормального изображения на экране.
    Если сильно перекрутить, то будет переконтраст, мы увидим все знакоместа сразу, а активные сегменты будут еле просматриваться, в этом случае просто крутим резистор в обратную сторону пока неактивные элементы не сойдут почти на нет.
    Можно отрегулировать так, что неактивные элементы вообще не будут видны, но я обычно оставляю их еле заметными.

    Дальше мне бы перейти к тестированию, да не тут то было.
    Когда я получил плату, то первым делом заметил, что помимо 5 Вольт ей надо +12 и -12, т.е. всего три напряжения. Я прям вспомнил РК86, где надо было +5, +12 и -5 Вольт, причем подавать их надо было в определенной последовательности.

    Если с 5 Вольт проблем не было, да и с +12 Вольт также, то -12 Вольт стали небольшой проблемой. Пришлось сделать небольшой временный блок питания.
    Ну в процессе была классика, поиск по сусекам того из чего можно его собрать, трассировка и изготовление платы.

    Так как трансформатор у меня был только с одной обмоткой, а импульсник городить не хотелось, то я решил собирать БП по схеме с удвоением напряжения.
    Скажу честно, это далеко не самый лучший вариант, так как такая схема имеет довольно высокий уровень пульсаций, а запаса по напряжению, чтобы стабилизаторы могли его полноценно фильтровать у меня было совсем впритык.
    Сверху та схема по которой делать более правильно, снизу та, по которой делал я.
    Отличие между ними в дополнительной обмотке трансформатора и двух диодах.

    Я поставил также почти без запаса. Но при этом он достаточен при нормально сетевом напряжении.
    Я бы рекомендовал применить трансформатор как минимум на 2 ВА, а лучше на 3-4ВА и имеющий две обмотки по 15 Вольт.
    Кстати потребление платы небольшое, по 5 Вольт вместе с подсветкой ток составляет всего 35-38мА, по 12 Вольт ток потребления еще меньше, но зависит от нагрузки.

    В итоге у меня вышла небольшая платка, по размерам чуть больше спичечного коробка, в основном в высоту.

    Разводка платы на первый взгляд может показаться несколько странной, так как можно было повернуть трансформатор на 180 градусов и получить более аккуратную разводку, я так сначала и сделал.
    Но в таком варианте выходило, что дорожки с сетевым напряжением оказывались в опасной близости от основной платы прибора и я решил немного изменить разводку. не скажу что стало отлично, но по крайней мере так хоть немного безопаснее.
    Можно убрать место под предохранитель, так как с примененным трансформатором в нем нет особой нужды, тогда будет еще лучше.

    Так выглядит полный комплект прибора. для соединения БП с платой прибора я спаял небольшой жесткий соединитель 4х4 контакта.

    Плата БП подключается при помощи соединителя к основной плате и теперь можно переходить к описанию работы прибора и тестированию. Сборка на этом этапе окончена.
    Можно было конечно поставить все это в корпус, но для меня такой прибор скорее вспомогательный, так как я уже смотрю в сторону более сложных DDS генераторов, но и стоимость их не всегда подойдет новичку, потому я решил оставить как есть.

    Перед началом тестирования опишу органы управления и возможности устройства.
    На плате есть 5 кнопок управления и кнопка сброса.
    Но по поводу кнопки сброса думаю все понятно и так, а остальные я опишу более подробно.
    Стоит отметить небольшой «дребезг» при переключении правой/левой кнопки, возможно программный «антидребезг» имеет слишком маленькое время, проявляется в основном только в режиме выбора частоты выхода в режиме HS и шага перестройки частоты, в остальных режимах проблем не замечено.
    Кнопки вверх и вниз переключают режимы работы прибора.
    1. Синусоидальный
    2. Прямоугольный
    3. Пилообразный
    4. Обратный пилообразный

    1. Треугольный
    2. Высокочастотный выход (отдельный разъем HS, остальные формы приведены для выхода DDS)
    3. Шумоподобный (генерируется случайным перебором комбинаций на выходе ЦАП)
    4. Эмуляция сигнала кардиограммы (как пример того, что генерировать можно любые формы сигналов)

    1-2. Изменять частоту на выходе DDS можно в диапазоне 1-65535ГЦ с шагом 1Гц
    3-4. Отдельно есть пункт, позволяющий выбрать шаг перестройки, по умолчанию включается шаг 100Гц.
    Изменять частоту работы и режимы можно только в режиме, когда генерация выключена., изменение происходит при помощи кнопок влево/вправо.
    Включается генерация кнопкой START.

    Также на плате расположены два переменных резистора.
    Один из них регулирует амплитуду сигнала, второй - смещение.
    На осциллограммах я попытался показать как это выглядит.
    Верхние две - изменение уровня выходного сигнала, нижние - регулировка смещения.

    Дальше пойдут результаты тестов.
    Все сигналы (кроме шумоподобного и ВЧ) проверялись на четырех частотах:
    1. 1000Гц
    2. 5000Гц
    3. 10000Гц
    4. 20000Гц.
    На частотах выше был большой завал потому эти осциллограммы приводить не имеет особого смысла.
    Для начала синусоидальный сигнал.

    Пилообразный

    Обратный пилообразный

    Треугольный

    Прямоугольный с выхода DDS

    Кардиограмма

    Прямоугольный с ВЧ выхода
    Здесь предоставляется выбор только из четырех частот, их я и проверил
    1. 1МГц
    2. 2МГц
    3. 4МГц
    4. 8МГц

    Шумоподобный в двух режимах развертки осциллографа, чтобы было более понятно что он из себя представляет.

    Как показало тестирование, сигналы имеют довольно искаженную форму начиная примерно с 10КГц. Сначала я грешил на упрощенный ЦАП, да и на саму простоту реализации синтеза, но захотелось проверить более тщательно.
    Для проверки я подключился осциллографом прямо на выход ЦАП и установил максимально возможную частоту синтезатора, 65535Гц.
    Здесь картина получше, особенно с учетом того, что генератор работал на максимальной частоте. Подозреваю что виной простая схема усиления, так как до ОУ сигнал заметно «красивее».

    Ну и групповое фото небольшого «стенда» начинающего радиолюбителя:)

    Резюме.
    Плюсы
    Качественное изготовление платы.
    Все компоненты были в наличии
    Никаких сложностей при сборке не возникло.
    Большие функциональные возможности

    Минусы
    BNC разъемы стоят слишком близко друг к другу
    Нет защиты по выходу HS.

    Мое мнение. Можно конечно сказать что характеристики прибора совсем плохие, но стоит учитывать то, что это DDS генератор самого начального уровня и не совсем правильно было бы ожидать от него чего то большего. Порадовала качественная плата, собирать было одно удовольствие, не было ни одного места, которое пришлось «допиливать». В виду того, что прибор собран по довольно известной схеме, есть надежда на альтернативные прошивки, которые могут увеличить функционал. С учетом всех плюсов и минусов я вполне могу рекомендовать этот набор как стартовый для начинающих радиолюбителей.

    Фух, вроде все, если накосячил где то, пишите, исправлю/дополню:)

    Товар предоставлен для написания обзора магазином. Обзор опубликован в соответствии с п.18 Правил сайта.

    Планирую купить +47 Добавить в избранное Обзор понравился +60 +126

    В первой части статьи рассматривается схемотехническое решение, устройство и конструкция DDS генератора (генератор с прямым цифровым синтезом формы сигнала) на микроконтроллере ATmega16 . В приборе, кроме синтеза сигнала различной формы и частоты, реализуется возможность регулировки амплитуды и смещения выходного сигнала.

    Основные характеристики прибора:

    • простое схемотехническое решение, доступные компоненты;
    • односторонняя печатная плата;
    • сетевой источник питания;
    • специализированный выход частоты от 1 МГц до 8 МГц;
    • DDS выход с регулировкой амплитуды и смещения;
    • форма выходного DDS сигнала: синусоида, прямоугольные импульсы, пилообразные импульсы, треугольные импульсы, ЭКГ, шум;
    • для отображения текущих параметров используется двухстрочный ЖК дисплей;
    • пятикнопочная клавиатура;
    • шаг перестройки частоты: 1, 10, 10, 1000, 10000 Гц;
    • восстановление последней конфигурации при включении;
    • регулировка смещения: -5 В … +5 В;
    • регулировка амплитуды: 0 … 10 В;
    • регулировка частоты: 0 … 65534 Гц.

    За основу прибора, а точнее алгоритм работы микроконтроллера, была взята разработка DDS генератора Jesper Hansen . Предложенный алгоритм был немного переработан и адаптирован под компилятор WinAVR-GCC

    Сигнальный генератор имеет два выхода: выход DDS сигнала и выход высокочастотного сигнала (1 - 8 МГц) прямоугольной формы, который может использоваться для «оживления» микроконтроллеров с неправильными установками Fuse-битов или для других целей.

    Высокочастотный сигнал поступает непосредственно с микроконтроллера, с вывода OC1A (PD5). DDS сигнал формируется микроконтроллером с использованием цепочки резисторов R2R (ЦАП), регулировка смещения и амплитуды возможна благодаря использованию низкопотребляющего операционного усилителя LM358N .

    Блок-схема DDS генератора

    Как видно, для питания устройства необходимо три напряжения: +5 В, +12 В, -12 В. Напряжения +12 В и -12 В используются для аналоговой части устройства на операционном усилителе для регулировки смещения и амплитуды.

    Принципиальная схема источника питания изображена на рисунке ниже.

    В источнике питания используются стабилизаторы напряжения LM7812 , LM7805 , LM7912 (стабилизатор отрицательного напряжения -12 В).

    Внешний вид источника питания для генератора

    Возможно использование компьютерного блока питания форм-фактора ATX, для этого необходимо распаять переходник в соответствии со схемой:

    Принципиальная схема прибора

    Для сборки прибора потребуется:

    • микроконтроллер ATmega16;
    • кварцевый резонатор 16 МГц;
    • стандартный двухстрочный ЖК индикатор на базе контроллера HD44780 ;
    • R2R ЦАП выполненный в виде цепочки резисторов;
    • сдвоенный операционный усилитель LM358;
    • два потенциометра;
    • пять кнопок;
    • несколько коннекторов и разъемов.

    Рисунок печатной платы

    Примененные компоненты, за исключением микроконтроллера и разъемов, в корпусах для поверхностного монтажа (smd).

    Прибор смонтированный в корпусе

    Тестовый запуск

    Загрузки

    Принципиальная схема и печатная плата (формат Eagle) -
    Проект для симуляции в среде Proteus -

    • Кто пробовал сваять?
    • Смотрите ветку Функцинальный генератор, начиная с 4 поста идет обсуждение этой конструкции, и пользователи QED и куко собрали этот генератор. И в протеусе был проверен - работает.
    • скажите кто-нибудь, пожалуйста, перечень компонентов для блока питания используемые в первом(http://www..html?di=69926) варианте генератора. в частности интересует какой модель трансформатора и выпрямитель использовал автор. или хотя бы полные аналоги. из просьбы ясно, что я в электротехнике не силён, но думаю собрать осилю без углубления в дебри предмета. Просто форс-мажор. С конденсаторами и 3-мя стабилизаторами всё понятно. Собственно вот эта схема прикреплена.
    • Трансформатор любой маломощный с двумя вторичными обмотками с выходным напряжением 15 В (переменка). В частности автор использовал трансформатор TS6/47 (2х15 В/2х0.25 А) Диодный мостик тоже любой маломощный сгодится. На фотке в статье виден и трансформатор и диодный мостик.
    • а подскажите пожалуйста, какая связь должна быть между вторичным выходом трансформатора и выпрямителем, учитывая схему БП автора?:confused: ну имею ввиду, если на выходе трансформатора 15в (вроде нашел вот такой -ТПС-7.2(2х15В)сим.(7.2Вт)15Вх2_7.2Вт_сим.(0.24А)х2 - 160,00руб) , то какой выпрямитель к нему? и на случай, если 12в на выходе трансформатора?
    • Не совсем понял вопрос, честно говоря... Трансформатор указанный вами вроде подходит... Мостик вполне, думаю подойдет к примеру DB106
    • Vadzz, спасибо огромное за подсказку. если DB106 подходит, значит и имеющий аналогичные параметры W08 подойдет. это так? просто, именно его имеется возможность(желание) купить. и ещё не смог разобраться с номиналами конденсаторов на схеме автора, подскажите, пожалуйста. они в все в nF(нанофарад-нФ)?
    • W08 - вполне подойдет. Конденсаторы в схеме блока питания или в схеме самого генератора? Если блок питания - то там все кондеры в микрофарадах (2000 мкф, 100 мкф, 0.1 мкф). В схеме генератора - по-моему только два кондера в обвязке кварца 18 пикофарад.
    • Vadzz, безгранично благодарю. вроде все вопросы сняты. Со схемой самого генератора вроде немного проще(есть файл EAGLE). Буду воплощать в реальность. Если всё будет путём, то попробую выложить печатную плату (формат Eagle) Блока питания.
    • Обязательно должно все получиться у вас... Рисунок печатной платы выкладывайте, кому-то обязательно пригодится...
    • Я спаял и пользуюсь. Честно говоря по ходу возникли несколько проблем: 1) недостаток - невозможна перестройка частоты при включенном генераторе. Т.е. если нужно менять частоту, то сначала выключаем генерацию сигнала, потом перестраиваем частоту, потом снова включаем генерацию сигнала. Это зачастую неудобно, когда нужно следить за реакцией налаживаемого устройства на плавное изменение частоты. Например для управления оборотами шаговика перестраивать частоту нужно только плавно. 2) недостаток - дважды слетал EEPROM. Автор предусмотрел запоминание установленных режимов в EEPROM, но это совсем не обязательно. Уж лучше бы ничего не запоминал и не использовал его совсем. Или в крайнем случае при повреждении EEPROM грузил установки "по умолчанию" из FLASH. Зато был бы надежнее. В целом в остальном работой я доволен. Просьба к тем, кто смыслит в написании программ для AVR исправить эти два недостатка.
    • По поводу перестройки частоты "налету" тут скорее всего нужно использовть DMA, чего в подобных микроконтроллерах нет. Может я ошибаюсь... надо глянуть исходники генератора... Насчет "слетает EEPROM" - интересно конечно причину узнать, но два раза я думаю еще не показатель.
    • Готовые генераторы на ad9850(51) есть здесь: http://radiokit.tiu.ru/product_list/group_802113
    • Готовые генераторы на AD9850 это хорошие девайсы, но другое дело когда собираешь и налаживаешь сам...
    • Разрушение данных в EEPROM приводит к полной неработоспособности генератора. Очень неприятная проблема в самый неподходящий момент. Я обычно внутри корпуса генератора держу запасной запрограммированый контроллер. Но это же не выход из положения. Почему не предусмотреть сохранение только текущих данных, которые не повлияют в целом на работоспособность, если будет разрушение EEPROM? При потере данных из Flash грузим установки по умолчанию. Все остальное, что касается работоспособности программы хранится во Flash. Так надежнее будет работать. ПРЕДЛАГАЮ разместить список ссылок с другими проектами генераторов на AVR.
    • Тут несколько людей собирали этот генератор (с их слов конечно же), они ничего не говорили по этому поводу, есть ли такая проблема у них или нет...
    • Подскажите,в данном генераторе есть возможность менять только частоту или скважность тоже?
    • В характеристика генератора указано, что можно менять частоту, к сожалению возможности менять скованность нет...
    • парни подскажите по поводу RESET джампера -когда его включить и когда снять..... благодарю
    • Нормальное состояние джампера - разомкнут.И это скорее всего не джампер, а имелось ввиду разъем для возможности подключения кнопки, с помощью которой можно будет сбрасывать мк, если вдруг чего...